نحوه پروگرام کردن بورد MOJO PLUS با Mojo IDE و Mojo Loader

FPGA-VerilogHDL
FPGA-VerilogHDL
278 بار بازدید - 3 سال پیش - سلام! در اولین ویدئو از
سلام! در اولین ویدئو از سری ویدئو های آموزشی یهویی، نحوه آپلود کردن فایل بیت کد نوشته شده در ISE، روی بورد موجو (MOJO) رو یاد خواهیم گرفت. نکته >> در این لیست پخش "ویدئو های آموزشی یهویی" فیلم های آموزشی با موضوعات متفرقه آپلود خواهند شد و اکثرا پاسخ به سوال هایی هستند که حین کار عملی و حرفه ای برای خودم پیش آمده و پیدا کردن راه حل آنها در اینترنت کار وقت گیری است!!! پینوشت 1: لینک زیر حاوی یک فایل زیپ است که شامل موارد زیر می باشد: *** فایل درایور USB *** فایل های مربوط به شماتیک بورد و فایل UCF *** فایل پروژه مستر ارائه شده توسط سازنده اصلی بورد *** لینک چند سایت معتبر برای دریافت اطلاعات تکمیلی *** فایل های مربوط به برنامه های Mojo IDE و Mojo Loader *** فایل bin. آماده، جهت تست صحت انجام مراحل آپلود فایل (LED Wave) *** فایل های مربوط به راه اندازی چشمک زن ساده به کمک LED های روی بورد. لینک فایل : yun.ir/Javid-HPF-MOJO-Plus
3 سال پیش در تاریخ 1400/04/07 منتشر شده است.
278 بـار بازدید شده
... بیشتر